Модераторы: Mazzi
  

Поиск:

Ответ в темуСоздание новой темы Создание опроса
> IDE VHDL 
:(
    Опции темы
konshyn
Дата 17.5.2015, 13:58 (ссылка) | (нет голосов) Загрузка ... Загрузка ... Быстрая цитата Цитата


Опытный
**


Профиль
Группа: Участник
Сообщений: 295
Регистрация: 19.9.2013

Репутация: нет
Всего: нет



Добрый день!
Посоветуйте, пожалуйста, какую-нибудь IDE с возможностью моделирования (наподобии ModelSim в Windows) для Ubuntu.


--------------------
«Потому что ценность акта действия в этой стране возрастает в несколько раз».
PM MAIL Skype   Вверх
xvr
Дата 18.5.2015, 15:33 (ссылка) | (нет голосов) Загрузка ... Загрузка ... Быстрая цитата Цитата


Эксперт
****


Профиль
Группа: Комодератор
Сообщений: 7046
Регистрация: 28.8.2007
Где: Дублин, Ирландия

Репутация: 1
Всего: 223



Ну тот же самый ModelSim например.

PM MAIL   Вверх
konshyn
Дата 18.5.2015, 18:55 (ссылка) | (нет голосов) Загрузка ... Загрузка ... Быстрая цитата Цитата


Опытный
**


Профиль
Группа: Участник
Сообщений: 295
Регистрация: 19.9.2013

Репутация: нет
Всего: нет



Цитата(xvr @  18.5.2015,  15:33 Найти цитируемый пост)
Ну тот же самый ModelSim например.

Его и поставил. 
Их оказывается два - один от MentorGraphics, второй от Altera.
Я всегда натыкался на первый, а у них нет версии под Linux. А вот у Altera есть.


--------------------
«Потому что ценность акта действия в этой стране возрастает в несколько раз».
PM MAIL Skype   Вверх
xvr
Дата 19.5.2015, 10:38 (ссылка) | (нет голосов) Загрузка ... Загрузка ... Быстрая цитата Цитата


Эксперт
****


Профиль
Группа: Комодератор
Сообщений: 7046
Регистрация: 28.8.2007
Где: Дублин, Ирландия

Репутация: 1
Всего: 223



Вообще то он один - от MentorGraphics. А для Altera/Xilinx и пр есть специально кастрированные под них версии (собственно они так и называются - ModelSim Altera Edition, ModelSim Xilinx Edition)

Цитата(konshyn @  18.5.2015,  18:55 Найти цитируемый пост)
а у них нет версии под Linux

Должна быть. На сайте MentorGraphics упомянут только ModelSim PE, а их гораздо больше (SE,DS, и еще какие то). В частности те же AE - Altera Edition и XE - Xilinx Edition
И Linux версии тоже есть, но не упомянуты  smile 

Еще можно Questa Sim (у них он называется Questa® Advanced Simulator) попробовать (это по сути развитие ModelSim'а)

PM MAIL   Вверх
lait33
Дата 20.10.2015, 21:52 (ссылка) | (нет голосов) Загрузка ... Загрузка ... Быстрая цитата Цитата


Новичок



Профиль
Группа: Участник
Сообщений: 3
Регистрация: 20.10.2015

Репутация: нет
Всего: нет



ModelSim SE ставь  его выше крыше.... и самое интересное 32-x битная версия лагает меньше 
Но я пишу только по Xilinx плисы, хотя знаю ребят, которые и под alteru пишут и симулируют в modelsim, vhdl там и там один и тот же.. толкьо среда разная и каждая со своими заморочками.

Главное библиотеки правильно подключить к  modelsim   
PM MAIL   Вверх
  
Ответ в темуСоздание новой темы Создание опроса
0 Пользователей читают эту тему (0 Гостей и 0 Скрытых Пользователей)
0 Пользователей:
« Предыдущая тема | Разное (электроника) | Следующая тема »


 




[ Время генерации скрипта: 0.1012 ]   [ Использовано запросов: 21 ]   [ GZIP включён ]


Реклама на сайте     Информационное спонсорство

 
По вопросам размещения рекламы пишите на vladimir(sobaka)vingrad.ru
Отказ от ответственности     Powered by Invision Power Board(R) 1.3 © 2003  IPS, Inc.